ViewVC Help
View File | Revision Log | Show Annotations | View Changeset | Root Listing
root/group/branches/mmeineke/simBASS/Makefile
Revision: 20
Committed: Tue Jul 9 18:55:29 2002 UTC (22 years ago) by mmeineke
File size: 350 byte(s)
Log Message:
runs a simulation from a BASS file

File Contents

# User Rev Content
1 mmeineke 20 CC=/usr/local/bin/gcc
2     CFLAGS= -g
3    
4     FF=ifc
5    
6     HEADER_DIR=../../inc
7     BIN_DIR=$(HOME)/bin
8     LIB_DIR=../../lib
9    
10     all: $(BIN_DIR)/simBASS
11    
12     clean:
13     rm *.o *~
14    
15     $(BIN_DIR)/simBASS: simBASS.o $(LIB_DIR)/libmdtools.a
16     $(FF) -o $@ -L/usr/local/lib -L$(LIB_DIR) ./simBASS.o -lstdc++ -lmdtools -lm
17    
18     simBASS.o: simBASS.cpp
19     $(CC) $(CFLAGS) -I$(HEADER_DIR) -c simBASS.cpp